微机原理实验四报告范文-霓虹灯设计

时间:2021-10-23 12:10:29 来源:网友投稿

微机原理实验四报告模板-霓虹灯设计 本文关键词:霓虹灯,微机,原理,模板,实验

微机原理实验四报告模板-霓虹灯设计 本文简介:南京工程学院自动化学院实验报告课程名称微机原理及应用B实验项目名称霓虹灯设计实验学生班级实验学生姓名同组学生姓名实验时间实验地点实验成绩评定指导教师签字*年*月*日本实验类型:□演示性□验证性■设计性□论证性一、实验目的和要求二、实验主要仪器和设备三、实验原理四、实验方案设计、实验方法五、实验步骤六

微机原理实验四报告模板-霓虹灯设计 本文内容:

南京工程学院

自动化学院

课程名称

微机原理及应用B

实验项目名称

霓虹灯设计

实验学生班级

实验学生姓名

同组学生姓名

实验时间

实验地点

实验成绩评定

指导教师签字*年*月*日

本实验类型:

□演示性

□验证性

■设计性

□论证性

一、实验目的和要求

二、实验主要仪器和设备

三、实验原理

四、实验方案设计、实验方法

五、实验步骤

六、调试中遇到的问题及解决的办法

七、实验结论

八、思考题

附件:程序清单

注:①1-4项内容为实验预习内容,学生须在进实验室之前完成。

②实验结果须有指导教师签字。

一、实验目的和要求

巩固强化微机系统结构的概念,理解8086/88读写操作时序,掌握常用器件8255A、8253等的扩展、编程方法。根据设计要求,提出霓虹灯电路的设计原理与实现方法,设计开发霓虹灯控制电路。掌握设计需求分析方法,学会初步规划微机系统方案,选用适当的接口芯片,完成硬件设计。同时,掌握系统功能与工作过程描述的流程图描述方法,能够熟练应用开发工具通过汇编程序实现系统设计,培养微机系统的设计分析开发能力。

二、实验主要仪器和设备

1.

计算机及操作系统

2.

EDIT、MASM、LINK与Debug软件

3.

伟福实验系统及集成开发调试环境

三、实验原理

1.

数码管

数码管管脚定义如图:

a

f

g

b

e

c

d

2.

功能描述

2

霓虹灯用7段LED数码管动态显示实现,通过8255、74373或74374实现数码管段选与位选,从而实现霓虹灯的现开关控制

2

霓虹灯由6个数码管构成,工作过程中,6个数码管按从右到左、再从左到右的顺序依次点亮,数码管的abcdef段也是依次点亮

3.

系统原理

2

系统硬件

系统硬件基于74374实现,由基本逻辑门对地址、读写信号等进行逻辑运算,实现74374的脉冲信号CLK,利用CLK的边沿,数据被锁到LED端,实现LED的亮与灭的控制,从而实现霓虹灯的动作。

2

工作原理

霓虹灯的位选与段选通过移位寄存器实现,每个数码管abcdef的顺序点亮也采用移位寄存器实现,段选与位选的输出口利用74374实现,74374的CLK由地址线与读写信号经逻辑运算获得。

四、实验方案设计、实验方法

1.

系统硬件接线

系统中,片选信号CS0与8086的地址线A15相接,与8086的写信号WR、读信号RD经逻辑运算形成74374脉冲信号OUT_SEG与OUT_BIT信号,LED的段选口与位选口的地址分别为8004H、8002H。

2.

软件流程图

霓虹灯的软硬件实现方法有很多,本设计中主要采用汇编语言中最基本的移位操作指令、程序控制指令,加1指令、减1指令、DOS中断指令等实现跑马灯的控制,设计流程如下图,详细方案与方法略。

开始

写位选

位结束?

点亮相应段

写段选

位选数据移位

选中LED

N

Y

Y

循环初始化

全结束?

段选数据移位

3.

实验方法

根据所述原理,按照接线图设计系统,对照设计流程细化分析设计过程,编制汇编语言程序,而后编译并连接,生成可执行代码,利用MASM调试软件Debug或集成环境WAVE6000跟踪调试可执行程序,观察各变量、寄存器等内容,调试无误后,运行并测试程序,经指导教师同意后并检查后,带硬件联合调试。

五、实验步骤

1.

确定硬件方案,在教师指导下确定硬件方案,完成接线图。

2.

在教师指导下,确定软件方案并绘制流程图。

3.

代码编制

利用wave软件或WINDOWS的EDIT编辑器,创建源文件并编制汇编语言代码,存储为.asm文件。

4.

设计项目的创建

5.

设计编译

采用汇编语言工具MASM或WAVE集成环境的编译菜单编译….

6.

设计链接

7.

设计软件调试

8.

软硬件联合调试

硬件接线经指导教师审查后,加电,完成联和调试….

9.

系统测试

六、调试中遇到的问题及解决的办法

1.

系统调试

调试窗口如下图

采用WAVE集成工具调试,利用单步指令F8、跟踪指令F7、执行到断点指令CTRL+F9、断点设置指令CTRL+F8等调试软件,利用观察窗查看寄存器值等内容,考察程序执行状况与各段结果。

在调试过程中出现了以下几个问题:……

……

2.

实验结果

根据系统要求,对系统各个功能组成进行了全面的测试…

……

从中可以得出,系统运转正常,功能达到设计要求,具有较好的可靠性与容错性。

七、结论

利用WAVE的8086汇编语言集成工具与汇编语言实现了霓虹灯的设计,并完成了硬件连线、汇编程序的设计编译、调试、测试等工作,结果表明采用所提出的设计方案与实现方法,能够达到设计目标,设计方案可行

八、思考题

通过上述电路霓虹灯还可以实现哪些供能的霓虹灯,试给出接线图与软件流程图?

通过上述电路,还可以带数字的滚动霓虹灯,其基本原理….

附件:程序清单

BITS

EQU

8002h

;BITS

SELECTION

SEGS

EQU

8004h

;SEGMENT

SELECTION

DATA

SEGMENT

DB

20

DUP(?)

DATA

ENDS

STACK

SEGMENT

STACK

DB

20

DUP(?)

STACK

ENDS

CODE

SEGMENT

ASSUME

CS:CODE,DS:DATA,SS:STACK

DELAY

PROC

NEAR

PUSH

AX

PUSH

CX

MOV

CX,0200H

LOOP

$

POP

CX

POP

AX

RET

DELAY

ENDP

START:

MOV

AX,DATA

MOV

DS,AX

REIN:

MOV

CX,00H

MOV

BL,01H

;BITS

SELECTION

SGLDS:

PUSH

CX;

SHL

BL,1

MOV

BH,01H

;SEGMENT

SELECTION

MOV

CX,06H

MOV

AL,BL

MOV

DX,BITS

OUT

DX,AL

DISP0:

MOV

AL,BH

MOV

DX,SEGS

OUT

DX,AL

SHL

BH,1

INC

BH

CALL

DELAY

LOOP

DISP0

POP

CX;

INC

CX

CMP

CX,06H

JNZ

SGLDS

MOV

CX,00H

MOV

BL,080H

;BITS

SELECTION

SGLD1:

PUSH

CX;

SHR

BL,1

MOV

BH,01H

;SEGMENT

SELECTION

MOV

CX,06H

MOV

AL,BL

MOV

DX,[BITS]

OUT

DX,AL

DISP1:

MOV

AL,BH

MOV

DX,SEGS

OUT

DX,AL

SHL

BH,1

INC

BH

CALL

DELAY

LOOP

DISP1

POP

CX;

INC

CX

CMP

CX,06H

JNZ

SGLD1

JMP

REIN

MOV

AH,4CH

INT

21H

CODE

ENDS

END

START

10

推荐访问:霓虹灯 微机 原理

版权所有:文秘范文网 2010-2024 未经授权禁止复制或建立镜像[文秘范文网]所有资源完全免费共享

Powered by 文秘范文网 © All Rights Reserved.。陕ICP备16010436号